格斯文档网

您现在的位置是:格斯文档网 > 作文大全 >

VWorks下WindML和Zinc使用分析和总结

下面是小编为大家整理的VWorks下WindML和Zinc使用分析和总结,供大家参考。

VWorks下WindML和Zinc使用分析和总结

 

 VxWorks 下 WindML 和 Zinc 的使用,Tornado2.2 + WindML3.0(2) 2008-05-11 11:22 以上谈到了安装完 Tornado2.2 和 BSP,本部分主要介绍在 VmWare5.5 上下载并运行一个简单的 helloWorld 程序。

 首先:VmWare5.5 虚拟机中虚拟的网卡为 AMD 的 Pc-Net 网卡,而Tornado2.2 所带的 PCNet 网卡驱动在实际使用过程中并不好用,因此需要重新编译网卡驱动。下面单独介绍如何编译网卡驱动。

 编译网卡驱动

 准备工作:在 Amd 的官方网站上下载 PCNet 网卡驱动,是一个 99 年的很老的压缩包,名为 ln97xEnd.tar1.gz,解压缩后在对应文件夹下找到 target 文件夹, 将此文件夹拷贝到 Tornado2.2 的安装目录下 target 文件夹所在的位置并覆盖。

 编译:在开始->运行->cmd,进入..\tornado2.2\host\x86-win32\bin 目录,运行 torVars.bat,此批处理文件主要是设置 Tornado 的环境变量,为了方便起见, 你也可以将其设置在 Window XP 系统的环境变量中。设置的环境变量如下:

 set WIND_HOST_TYPE=x86-win32 set WIND_BASE=D:\Tornado2.2 set PATH=%WIND_BASE%\host\%WIND_HOST_TYPE%\bin;%PATH%

 进入到..\tornado2.2\target\src\drv\end 目录,运行 make CPU=PENTIUM tool=gnu ln97xEnd.o

 注意大小写和空格。

 完成后将此目录下生成的 ln97Xend.o 拷贝 到..\tornado2.2\target\lib\pentium\PENTIUM\common 目录下,在 cmd 窗口中重新定位到..\tornado2.2\target\lib\pentium\PENTIUM\common 目录,运行

 arpentium -d libdrv.a ln97xEnd.o

 完成后再运行

 arpentium -ra iOlicomEnd.o libdrv.a ln97xEnd.o

 成功后驱动编译就完成了。因为上面运行 torvars.bat 注册的环境变量只对本次窗口有效,为了方便使用先保留 cmd 窗口。下面就开始配置 bsp

 配置

 BSP

 配置 BSP 主要是修改对应的 BSP 目录下的 config.h 文件。由于我是在 pentium 的 BSP 下进行开发,所以该文件位于..\tornado2.2\target\config\pcPentium

 下。

 我们首先要修改 VxWorks 的启动参数。先查找到定义 DEFAULT_BOOT_LINE 宏的地方,修改预处理条件 CPU == PENTIUM 分支下的定义如下:

 #define DEFAULT_BOOT_LINE \ "lnPci(0,0)your_host_name:d:\\vxWorks h=192.168.80.169 e=192.168.80.254 u=target pw=vxworks tn=target" 其中:

  lnPci(0,0)指定了使用第 0 个网卡和第 0 个处理器,lnPci 这个标识会因为使用的驱动程序不同而有所不同,但这里用 lnPci 就可以了;  your_host_name 指定您的主机的名字,使用 Windows 系统的主机名就可以;  d:\\vxWorks 指定了 VxWorks 映象下载的完整路径;  h=192.168.80.169 是主机的 IP 地址,就是您当前正在使用的系统的 IP 地址;  e=192.168.80.254 是目标机的 IP 地址,也就是未来 VxWorks 操作系统的IP 地址,您只要任意指定一个不冲突的IP 地址即可,这里我们假设您的目标机 IP 地址和主机 IP 地址在同一个网段内;  u=target 指定了 FTP 服务器的用户名,这个 FTP 就是用来下载 VxWorks 映象的,后面还会提到;  pw=vxwroks 是用户名对应的口令;  tn=target 指定目标机的名字,任意指定即可

 到此为止,对 config.h 文件的修改就完成了,保存修改,然后再打开同一目录下的 sysLn97xEnd.c 文件;这一步修改的目的是要使网卡正常工作。我们先定位到“memory-mapped IO base”这段文字,然后将其前面的参数由pciRsrc[endUnit].bar[1]修改为 NONE,这样就可以了。最后别忘了保存。

 安装和配置

 WindML

 WindML3.0 的的 cd-key:(DISK_ID: TDK-14774-ZC-00) INSTALL KEY: B7KFB-7F5Cp-CBdB5-gUKPc-F99pC。安装的时候选 For Tornado2.2 就可以了在 pentium_VESABIOS_RGB565_640x480 这个配置上改的。

 分辨率改为 800x600,Pointer 选 PS/2 style,设备名/POINTER/0。键盘是 PC/AT style,设备名/pcConsole/1。

 可以在 SHELL 里运行 DEVS 命令看看当前系统中的设备 有没有/KEYBOARD/0 把 build Windml objcet build Windml examples 也选上。

 在 romInit.s 文件中加上#include <ugl/driver/graphics/pcbios/romInit.h>

 。它必须是 include 的最后一个头文件。

 在 config.h 的较为开始处加上 #define INCLUDE_WINDML #define INCLUDE_WINDML_PS2_POINTER #define INCLUDE_WINDML_PS2_KEYBOARD 重新编译 BSP,制作 bootrom 的配置 vxworks WindML components 组件下,包含如下几个部分 WindML devices->input device->PS2 keyboard ->PS2 mouse WindML devices->graphics device->graphics support(PCI device)2D layer->complete 2D library 弄好后把 D:\Tornado2.2pt\target\lib\objPENTIUMgnuApps\ ugldemo_ugl.o download 下去就可以

 另外要是你是把 D:\Tornado2.2\target\src\ugl\example\demo\ ugldemo.c 编译下载的话会告诉你缺少库

 在工程的 Macros->PRJ_LIBS 把库D:\Tornado2.2\target\lib\pentium\PENTIUM\common\ libwndml.a 加入就可以,当然也可以在 VXWORKS 里加具体是在 Macros->EXTRA_MODULES 里!

 

推荐访问:标签 分析 biaoti3

版权所有:格斯文档网 2010-2024 未经授权禁止复制或建立镜像[格斯文档网]所有资源完全免费共享

Powered by 格斯文档网 © All Rights Reserved.。浙ICP备19042928号